Verilog仿真环境搭建——基于Quartus ii 11.0

整了两天,终于把verilog的仿真环境,包括仿真步骤弄清楚个大概。感觉真繁琐。这里记录一下,供以后参考。

1、所需软件

分别为基本组件+device器件+modelsim仿真器,外加破解器


2、安装

按顺序依次安装:
11.0_quartus_windows.exe,
11.0_devices_windows.exe,
11.0_modelsim_ase_windows.exe

  • 由于软件较大,因此,双击exe后,会让选择目录,这里是放压缩包的解压位置。解压完后,才是真正的安装,此时选择安装目录。这里以 E:/altera/11.0为例
  • 三个软件的安装目录必须放在同一目录

3、破解

  • 安装玩后,将破解器exe放在E:\altera\11.0\quartus\bin目录下,双击exe,运行完,会产生license.dat文件。将其保存到任意目录,并记住地址
  • 打开quartus软件,会提示选择licence,直接定位到上述地址即可。

4、关联仿真器

quartus软件界面,菜单栏Tools-->options-->EDA tool options-->ModelSim-Altera下,地址设为F:\altera\11.0\modelsim_ase\win32aloem。这样就可以开始仿真了。

5、仿真步骤

  • 新建project
  • 新建verilog file,代码编写
  • 依次点击如图中右边按钮和左边按钮,进行检查语法和编译


  • 建立test bench:processing-->start-->start test bench template writer产生test bench文件。然后在自己的project目录中,找到simulation\modelsim目录下的后缀为.vt的文件,用记事本打开。在其中编写测试文件。
  • quartus软件中,assigments-->setting-->EDA tool settings-->simulation下,tool name选择modelsim-Altera,compile test bench中,test bench name设为测试文件中模块名,test bench files 选择测试文件地址
  • 接下来就可以开始仿真了。quartus软件中,tools-->Run EDA simulation tool下,选择RTL simulation。即可看到波形。
5分频仿真

参考:

1、http://blog.sina.com.cn/s/blog_62631dbd0101gl8d.html
2、 https://wenku.baidu.com/view/d2cf37844afe04a1b071dee9.html

最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 194,319评论 5 459
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 81,801评论 2 371
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 141,567评论 0 319
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 52,156评论 1 263
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 61,019评论 4 355
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 46,090评论 1 272
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 36,500评论 3 381
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 35,192评论 0 253
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 39,474评论 1 290
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 34,566评论 2 309
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 36,338评论 1 326
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 32,212评论 3 312
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 37,572评论 3 298
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 28,890评论 0 17
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 30,169评论 1 250
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 41,478评论 2 341
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 40,661评论 2 335

推荐阅读更多精彩内容