Ubuntu 下使用 NCverilog 仿真 Verilog 工程

姓名:徐铭伟   学号:21011210001   学院:通信工程学院

【嵌牛导读】Uubuntu下使用NCverilog仿真Verilog工程

【嵌牛鼻子】Uubuntu下使用NCverilog仿真Verilog工程

【嵌牛提问】如何在Uubuntu下使用NCverilog仿真Verilog工程

【嵌牛正文】

0x01 NCverilog 简介

NCverilog 是国际 EDA 巨头 Cadence 旗下的仿真软件。NCverilog 有 shell 和 GUI 两种版本,GUI 版本的叫做 NClaunch ,本文仅说 shell 版本的。

与 Windows 下的常用 EDA 软件 Modelsim/QuestaSim 不同,Linux 下的 EDA 软件通常是仿真和波形查看功能是分离的,比如 Synopsys 旗下的 VCS 和 Verdi 、以及轻量级开源 EDA 工具 Icarus-verilog 和 GTKwave。Cadence 的波形查看器称为 Simvision

0x02 NCverilog 仿真自动化

NCverilog 的三步命令模式有ncvlog(编译)ncelab(建立snapshot文件)ncsim(对snapshot进行仿真);同时也支持单命令模式,下面使用单命令编写 Makefile 实现自动化仿真:

# This is a Makefile for NCsim

TbFileName  += "testbench"

SimFileList += "SimFileList"

RtlFileList += "RtlFileList"

all:

@echo "Start NCverilog......"

ncverilog \

+access+wrc \

+nctimescale+1ns/1ps \

-f SimFileList \

-f RtlFileList

@echo "NCverilog is Over!!!"

clean:

rm -rf ./INCA_libs ./*.shm

因为最终需要生成 Simvision 所支持的波形文件,即 .shm 文件,所以在 testbench 文件中需要加入以下语句;其中 TESTwave.shm 为 shm 文件名称,testbench 为顶层 tb module 的名称。

// generate shm File

initial begin

    $shm_open("TESTwave.shm");    // 打开波形保存文件wave.shm

    $shm_probe(testbench,"AS");    // 设置探针

end

0x03 执行仿真 & 查看结果

在 Makefile 所在目录执行 make 即可调用 NCsim 内核对 tb 文件进行仿真,仿真结束后停留在 NC 的命令行界面,此时可以使用 NC 的指令对仿真进行进一步操作,或者输入exit 退出 NCverilog 命令行界面。

再打开一个终端输入simvision将会打开 simvision 界面,点击左上角的 File -> Open Database 选择生成的 .shm 文件,点击 Open 即可打开波形。


当更改设计或仿真文件后可以点击左上角 File->Reload Database 重新加载波形。

姓名:徐铭伟   学号:21011210001   学院:通信工程学院

©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 194,457评论 5 459
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 81,837评论 2 371
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 141,696评论 0 319
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 52,183评论 1 263
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 61,057评论 4 355
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 46,105评论 1 272
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 36,520评论 3 381
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 35,211评论 0 253
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 39,482评论 1 290
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 34,574评论 2 309
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 36,353评论 1 326
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 32,213评论 3 312
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 37,576评论 3 298
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 28,897评论 0 17
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 30,174评论 1 250
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 41,489评论 2 341
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 40,683评论 2 335

推荐阅读更多精彩内容